901 Hardware UTF Encoder/Decoder

901 : Hardware UTF Encoder/Decoder

Design render
  • Author: Rebecca G. Bettencourt
  • Description: Converts Unicode code points between UTF-8, UTF-16, and UTF-32.
  • GitHub repository
  • Clock: 0 Hz

How it works

This project contains hardware logic to convert between the UTF‑8, UTF‑16, and UTF‑32 encodings for Unicode text.

It will detect and raise an error signal on overlong encodings, out of range code point values, and invalid byte sequences.

(You can optionally disable range checking if you wish to use the original UTF‑8 spec that supports values up to 0x7FFFFFFF.)

Basic operation

  • In the initial state, all dedicated inputs should be set HIGH.
  • At any time, set /RESET (rst_n) LOW and pulse CLK to reset all inputs and outputs to initial state.
  • At any time, set /ROUT (input 0) LOW and pulse CLK to seek to the beginning of the output.
  • You can set ERRS or /PROPS (input 1) HIGH to get an error status on the dedicated outputs.
  • You can set ERRS or /PROPS (input 1) LOW to get character properties on the dedicated outputs.
  • You can set CHK (input 2) HIGH to raise an error signal when the code point value is out of range (≥0x110000).
  • You can set CHK (input 2) LOW to ignore out of range code point values and encode/decode values up to 0x7FFFFFFF.
  • You can set CBE (input 3) HIGH to specify big endian order for UTF‑32 and UTF‑16 input and output.
  • You can set CBE (input 3) LOW to specify little endian order for UTF‑32 and UTF‑16 input and output.

Inputting UTF‑32

  1. Set READ or /WRITE (input 4) LOW.
  2. Set /CIO (input 5, character I/O) LOW.
  3. Set bidirectional I/O to the first byte of the UTF‑32 word and pulse CLK.
  4. Set bidirectional I/O to the second byte of the UTF‑32 word and pulse CLK.
  5. Set bidirectional I/O to the third byte of the UTF‑32 word and pulse CLK.
  6. Set bidirectional I/O to the fourth byte of the UTF‑32 word and pulse CLK.
  7. Set /CIO (input 5, character I/O) HIGH.
  8. Set READ or /WRITE (input 4) HIGH.
  9. If READY (output 0) is HIGH and ERROR (output 5) is LOW, the input and output are both valid.
  10. If READY (output 0) is LOW or ERROR (output 5) is HIGH, the input was out of range (≥0x110000 or, if CHK is LOW, ≥0x80000000).

Inputting UTF‑16

  1. Set ERRS or /PROPS (input 1) LOW.
  2. Set READ or /WRITE (input 4) LOW.
  3. Set /UIO (input 6, UTF‑16 I/O) LOW.
  4. Set bidirectional I/O to the first byte of the first UTF‑16 word and pulse CLK.
  5. Set bidirectional I/O to the second byte of the first UTF‑16 word and pulse CLK.
  6. If HIGHCHAR (output 3) is LOW, skip to step 9.
  7. Set bidirectional I/O to the first byte of the second UTF‑16 word and pulse CLK.
  8. Set bidirectional I/O to the second byte of the second UTF‑16 word and pulse CLK.
  9. Set /UIO (input 6, UTF‑16 I/O) HIGH.
  10. Set READ or /WRITE (input 4) HIGH.
  11. Set ERRS or /PROPS (input 1) HIGH.
  12. If READY (output 0) is HIGH and ERROR (output 5) is LOW, the input and output are both valid.
  13. If RETRY (output 1) is HIGH, the first word was a high surrogate but the second word was not a low surrogate. The output will be the high surrogate only; the last word will need to be processed again.

Inputting UTF‑8

  1. Set READ or /WRITE (input 4) LOW.
  2. Set /BIO (input 7, byte I/O) LOW.
  3. Set bidirectional I/O to the current byte of the UTF‑8 sequence and pulse CLK.
  4. Repeat step 3 until READY (output 0) or ERROR (output 5) is HIGH.
  5. If READY (output 0) is HIGH and ERROR (output 5) is LOW, the input and output are both valid.
  6. If RETRY (output 1) is HIGH, the UTF‑8 sequence was truncated (not enough continuation bytes). The output will be the truncated sequence only; the last byte will need to be processed again.
  7. If INVALID (output 2) is HIGH, the UTF‑8 sequence was a single continuation byte or invalid byte (0xFE or 0xFF).
  8. If OVERLONG (output 3) is HIGH, the UTF‑8 sequence was an overlong encoding.
  9. If NONUNI (output 4) is HIGH, the UTF‑8 sequence was out of range (≥0x110000).

Outputting UTF‑32

  1. Set READ or /WRITE (input 4) HIGH.
  2. Set /CIO (input 5, character I/O) LOW.
  3. Pulse CLK and read the first byte of the UTF‑32 word from the bidirectional I/O.
  4. Pulse CLK and read the second byte of the UTF‑32 word from the bidirectional I/O.
  5. Pulse CLK and read the third byte of the UTF‑32 word from the bidirectional I/O.
  6. Pulse CLK and read the fourth byte of the UTF‑32 word from the bidirectional I/O.
  7. Set /CIO (input 5, character I/O) HIGH.
  8. If the UTF‑32 word is within range, the input and output are both valid.
  9. If the UTF‑32 word is not within range, then the input was either incomplete or invalid.

Outputting UTF‑16

  1. Set READ or /WRITE (input 4) HIGH.
  2. If UEOF (output 6) is HIGH, then the input was either incomplete or invalid.
  3. Set /UIO (input 6, UTF‑16 I/O) LOW.
  4. Pulse CLK and read the next byte of the UTF‑16 sequence from the bidirectional I/O.
  5. Repeat step 4 until UEOF (output 6) is HIGH.
  6. Set /UIO (input 6, UTF‑16 I/O) HIGH.

Outputting UTF‑8

  1. Set READ or /WRITE (input 4) HIGH.
  2. If BEOF (output 7) is HIGH, then the input was either incomplete or invalid.
  3. Set /BIO (input 7, byte I/O) LOW.
  4. Pulse CLK and read the next byte of the UTF‑8 sequence from the bidirectional I/O.
  5. Repeat step 4 until BEOF (output 7) is HIGH.
  6. Set /BIO (input 7, byte I/O) HIGH.

Error status

When ERRS or /PROPS (input 1) is HIGH, the dedicated outputs will be:

# Name Meaning
0 READY The input and output are complete sequences.
1 RETRY The previous input was invalid or the start of another sequence and was ignored. Process the output, reset, and try the previous input again.
2 INVALID The input and output are invalid.
3 OVERLONG The UTF‑8 input was an overlong sequence.
4 NONUNI The code point value is out of range (≥0x110000). (This is set independently of the CHK input; the CHK input only changes whether this counts as an error.)
5 ERROR Equivalent to (RETRY or INVALID or OVERLONG or (NONUNI and CHK)).

If all of these outputs are LOW, the accumulated input is incomplete and more input is required (underflow).

Character properties

When ERRS or /PROPS (input 1) is LOW, the dedicated outputs will be:

# Name Meaning
0 NORMAL The code point value is valid and not a C0 or C1 control character, surrogate, private use character, or noncharacter.
1 CONTROL The code point value is valid and a C0 or C1 control character (0x00-0x1F or 0x7F-0x9F).
2 SURROGATE The code point value is valid and a UTF‑16 surrogate (0xD800-0xDFFF).
3 HIGHCHAR The code point value is valid and either a high surrogate (0xD800-0xDBFF) or a non-BMP character (≥0x10000).
4 PRIVATE The code point value is valid and either a private use character (0xE000-0xF8FF, ≥0xF0000) or the high surrogate of a private use character (0xDB80-0xDBFF).
5 NONCHAR The code point value is valid and a noncharacter (0xFDD0-0xFDEF or the last two code points of any plane).

If all of these outputs are LOW, there is no valid code point in the output.

How to test

The test.py file covers a comprehensive set of test cases which are listed in a separate file to avoid bloating the TT08 manual.

External hardware

Any device that needs to process Unicode text.

IO

#InputOutputBidirectional
0/ROUTREADY; NORMALI/O LSB
1ERRS, /PROPSRETRY; CONTROLI/O
2CHKINVALID; SURROGATEI/O
3CBE, /CLEOVERLONG; HIGHCHARI/O
4READ, /WRITENONUNI; PRIVATEI/O
5/CIOERROR; NONCHARI/O
6/UIOUEOFI/O
7/BIOBEOFI/O MSB

Chip location

Controller Mux Mux Mux Mux Mux Mux Mux Mux Mux Mux Mux Analog Mux Mux Mux Mux Mux Mux Mux Mux Mux Mux Mux Analog Mux Mux Mux Mux Mux Mux Mux Mux Mux Mux tt_um_chip_rom (Chip ROM) tt_um_factory_test (TinyTapeout 8 Factory Test) tt_um_oscillating_bones (Oscillating Bones) tt_um_urish_charge_pump (Dickson Charge Pump) tt_um_bgr_agolmanesh (Bandgap Reference) tt_um_tnt_diff_rx (TT08 Differential Receiver test) tt_um_rejunity_vga_logo (VGA Tiny Logo (1 tile)) tt_um_tommythorn_maxbw (Asynchronous Multiplier) tt_um_mattvenn_r2r_dac_3v3 (Analog 8 bit 3.3v R2R DAC) tt_um_urish_simon (Simon Says memory game) tt_um_rebeccargb_universal_decoder (Universal Binary to Segment Decoder) tt_um_mattvenn_rgb_mixer (RGB Mixer demo5) tt_um_rebeccargb_hardware_utf8 (Hardware UTF Encoder/Decoder) tt_um_find_the_damn_issue (Find The Damn Issue) tt_um_brandonramos_VGA_Pong_with_NES_Controllers (VGA Pong with NES Controllers) tt_um_kb2ghz_xalu (4-bit minicomputer ALU) tt_um_rebeccargb_intercal_alu (INTERCAL ALU) tt_um_a1k0n_demo (Demo by a1k0n) tt_um_rburt16_bias_generator (Bias Generator) tt_um_zec_square1 ("SQUARE-1": VGA/audio demo) tt_um_jmack2201 (Sprite Bouncer with Looping Background Options) tt_um_ran_DanielZhu (Dice) tt_um_gfg_development_tinymandelbrot (TinyMandelbrot) tt_um_LnL_SoC (Lab and Lectures SoC) tt_um_htfab_pi_snake (Pi Snake) tt_um_tt08_aicd_playground (AICD Playground) tt_um_toivoh_demo (Sequential Shadows [TT08 demo competition]) tt_um_quarren42_demoscene_top (asic design is my passion) tt_um_crispy_vga (Crispy VGA) tt_um_MichaelBell_canon (TT08 Pachelbel's Canon demo) tt_um_shuangyu_top (Calculator) tt_um_wokwi_407306064811090945 (DDR throughput and flop aperature test) tt_um_08_sws (Sine Wave Synthesizer) tt_um_favoritohjs_scroller (VGA Scroller) tt_um_tt08_wirecube (Wirecube) tt_um_vga_glyph_mode (Glyph Mode) tt_um_a1k0n_vgadonut (VGA donut) tt_um_roy1707018 (RO) tt_um_analog_factory_test (TT08 Analog Factory Test) tt_um_sign_addsub (CMOS design of 4-bit Signed Adder Subtractor) tt_um_tinytapeout_logo_screensaver (VGA Screensaver with Tiny Tapeout Logo) tt_um_patater_demokit (Patater Demo Kit Waggling Rainbow on a Chip) tt_um_algofoogle_tt08_vga_fun (TT08 VGA FUN!) tt_um_simon_cipher (simon_cipher) tt_um_thexeno_rgbw_controller (Color Controller) tt_um_demosiine_sda (DemoSiine) tt_um_bytex64_munch (Munch) tt_um_alexjaeger_ringoscillator (5MHz Ring Oscillator) tt_um_cfib_demo (cfib Demoscene Entry) tt_um_wokwi_407852791999030273 (Simple 8 Bit ALU) tt_um_Richard28277 (4-bit ALU) tt_um_betz_morse_keyer (Morse Code Keyer) tt_um_nvious_graphics (nVious Graphics) tt_um_tiny_pll (Tiny PLL) tt_um_ezchips_calc (8-Bit Calculator) tt_um_hack_cpu (HACK CPU) tt_um_noritsuna_Vctrl_LC_oscillator (Voltage Controlled LC-Oscillator) tt_um_ring_divider (Divided Ring Oscillator) tt_um_2048_vga_game (2048 sliding tile puzzle game (VGA)) tt_um_morningjava_r2r_from_matt (Bucket Brigade) tt_um_ephrenm_tsal (TSAL_TT) tt_um_kapilan_alarm (Alarm Clock) tt_um_stochastic_addmultiply_CL123abc (Stochastic Multiplier, Adder and Self-Multiplier) tt_um_wokwi_407760296956596225 (tt08-octal-alu) tt_um_dlfloatmac (DL float MAC) tt_um_wakki_0123_Raw_Transistors (Raw_Transistors) tt_um_faramire_rotary_ring_wrapper (Rotary Encoder WS2812B Control) tt_um_devstdin_LDO_OSC (LDO BG IREF OSC) tt_um_frequency_counter (Frequency Counter SSD1306 OLED) tt_um_rom_test (TT08 SKY130 ROM 'YOLO' Test) tt_um_i2c_peripheral_stevej (i2c peripherals: leading zero count and fnv-1a hash) tt_um_yuri_panchul_schoolriscv_cpu_with_fibonacci_program (schoolRISCV CPU with Fibonacci program) tt_um_yuri_panchul_adder_with_flow_control (Adder with Flow Control) tt_um_brailliance (Brailliance) tt_um_nyan (nyan) tt_um_MichaelBell_mandelbrot (VGA Mandelbrot) tt_um_ssp_opamp (2-stage Opamp Designs) tt_um_fountaincoder_top_ad (pulse_add) tt_um_edwintorok (Rounding error) tt_um_mac (MAC) tt_um_dpmu (DPMU) tt_um_JAC_EE_segdecode (7 Segment Decode) tt_um_wokwi_408118380088342529 (Traffic-light-sequence) tt_um_shiftreg_test (TT08 SKY130 Shift Register 'YOLO' Test) tt_um_yuri_panchul_sea_battle_vga_game (Sea Battle) tt_um_benpayne_ps2_decoder (PS2 Decoder) tt_um_meriac_play_tune (Super Mario Tune on A Piezo Speaker) tt_um_comm_ic_bhavuk (Comm_IC) tt_um_daosvik_aesinvsbox (AES Inverse S-box) tt_um_wokwi_408216451206371329 (Logic Test) tt_um_micro_tiles_container (Micro tile container) tt_um_cattuto_sr_latch (TT08 - experiments with latch-based shift registers) tt_um_rejunity_vga_test01 (VGA Drop (audio/visual demo)) tt_um_silice (Warp) tt_um_wokwi_408231820749720577 (Abacus Lock) tt_um_jayjaywong12 (mulmul) tt_um_emmyxu_obstacle_detection (Obstacle Detection) tt_um_neural_navigators (Neural Net ASIC) tt_um_a1k0n_nyancat (VGA Nyan Cat) tt_um_rebeccargb_styler (Styler) tt_um_resfuzzy (resfuzzy) tt_um_cejmu (CEJMU Beers and Adders) tt_um_16_mic_beamformer_arghunter (16 Mic Beamformer) tt_um_pdm_pitch_filter_arghunter (PDM Pitch Filter) tt_um_pdm_correlator_arghunter (PDM Correlator) tt_um_ddc_arghunter (DDC) tt_um_i2s_to_pwm_arghunter (I2S to PWM ) tt_um_georgboecherer_vco (Analog Voltage Controlled Oscillator) tt_um_supermic_arghunter (Supermic ) tt_um_dmtd_arghunter (DMTD ) tt_um_htfab_bouncy_capsule (Bouncy Capsule) tt_um_samuelm_pwm_generator (PWM generator) tt_um_mattvenn_analog_ring_osc (Ring Oscillators) tt_um_toivoh_demo_deluxe (Sequential Shadows Deluxe [TT08 demo competition]) tt_um_vga_clock (VGA clock) tt_um_z2a_rgb_mixer (RGB Mixer demo) tt_um_faramire_stopwatch (Simple Stopwatch) tt_um_micro_tiles_container_group2 (Micro tile container (group 2)) tt_um_johshoff_metaballs (Metaballs) tt_um_top (Flame demo) tt_um_NicklausThompson_SkyKing (SkyKing Demo) tt_um_Electom_cla_4bits (4-bit CLA) tt_um_vga_cbtest (Generate VGA output for Color Blindness Test) tt_um_zoom_zoom (Zoom Zoom) tt_um_dpmunit (DPM_Unit) tt_um_clock_divider_arghunter (Clock Divider ) tt_um_dlmiles_poc_fskmodem_hdlctrx (FSK Modem +HDLC +UART (PoC)) tt_um_emilian_muxpga (TinyFPGA resubmit for TT08) tt_um_pyamnihc_dummy_counter (Dummy Counter) tt_um_whynot (Why not?) tt_um_sudana_ota5t_1 (5-T OTA) tt_um_dlmiles_tt08_poc_uart (UART) tt_um_dendraws_donut (donut) tt_um_wokwi_408237988946759681 (Counter) tt_um_tmkong_rgb_mixer (RGB Mixer) Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available Available