Press

Tiny Tapeout is an educational project that makes it easier and cheaper than ever to get simple digital designs manufactured on a real chip!

Hi, I’m a professor for an Introduction to Digital Systems course and just found this and let’s just say this has fundamentally just changed our entire Computer Engineering curriculum. It looks like we can actually have first-year students designing ASICs, then be able later to analyze performance while taking their electronics course and learning about MOSFETs as well as their embedded systems and computer architecture. Russell Trafford, Rowan University

Previous Tiny Tapeouts

Run Launched Closed Shuttle Designs Chips Expected PCBs Expected
TT01 2022-08-17 2022-09-01 MPW7 152 Dec 2023 -
TT02 2022-11-09 2022-12-02 2211Q 165 Oct 2023 Jan 2024
TT03 2023-03-01 2023-04-23 2304C 249 (includes 149 from TT02) Dec 2023 Feb 2024
TT04 2023-07-01 2023-09-08 2309C 143 Feb 2024 Apr 2024

Articles

Community

Project showcase

Wokwi projects

HDL projects

Testimonials

Just yesterday, I was dreaming of a tapeout cooperative, and it turns out to exist. Thanks to you all!! Looking forward to TT05!


Bloop. Just submitted my first chip design to #TinyTapeout using #Rust HDL! Amazing how accessible this stuff is thanks to the TT crew’s fantastic design tools and documentation.


GCC and Python opened up computing to students and enthusiasts; Tiny Tapeout blew the gates open on the semiconductor digital design process! I’m immensely thankful for the TT team’s efforts towards infrastructure and accessibility; without the tooling’s short iteration time & pointed feedback, I couldn’t have imagined a project and figured out how to make it work in the same weekend.


An educational chip development workflow entirely in-browser, from graphic entry to GDSII output for #sky130! Stunning work by @matthewvenn to make an opaque area of technology accessible.


Last week I designed an integrated circuit for #tinytapeout, my first digital circuit design not to include any kind of cpu.


I still can’t get over how smooth the ASIC design workflow of the #tinytapeout is


Thank you @matthewvenn and everyone who made #tinytapeout happen! I made a simple counter FSM based on a UTexas presentation (linked below), but I made it all on my new Steamdeck!


Created a 128-Bit Memory (8 x 16-Bit Blocks) custom ASIC. I hope it will be manufactured in next shuttle run. Aside from that, It was amazing how seamless and easy was the whole process.


I want to change my VLSI class in the spring (April-June) to utilise your tiny chip infrastructure. If I could say every student in my class got something made, that would be awesome.


Built a CRC logic for the http://tinytapeout.com of @matthewvenn with my son. Looking forward if the tapeout happens 🥰 Yet either way, the workflow is astonishing. CI/CD for chip design 🤯


Thank you for doing it - I always wanted to join these OpenMPW shuttles, but never felt ready for it. Tiny Tapeout provided a way for me to get in, while only spending 1 evening of effort on my end. That’s amazing!


Just made a 4-bit barrel shifter by using this http://tinytapeout.com toolkit. It’s quick and fun to use. The GDS even generated within minutes. Everyone should try this.


More on Twitter.