21 BCD to 7-segment encoder

21 : 0b 000 010 101 : BCD to 7-segment encoder

Select Project 1 2 3 4 5 6 7 8 9 ON SW2
  • Author: maehw
  • Description: Encode binary coded decimals (BCD) in the range 0..9 to 7-segment display control signals
  • GitHub repository
  • Most recent GDS build
  • Wokwi project
  • Extra docs
  • Clock: 0 Hz
  • External hardware: Input switches and 7-segment display (should be on the PCB)

How it works

The design has been fully generated using https://github.com/maehw/wokwi-lookup-table-generator using a truth table (https://github.com/maehw/wokwi-lookup-table-generator/blob/main/demos/bcd_7segment_lut.logic.json). The truth table describes the translation of binary coded decimal (BCD) numbers to wokwi 7-segment display (https://docs.wokwi.com/parts/wokwi-7segment). Valid BCD input values are in the range 0..9, other values will show a blank display.

How to test

Control the input switches on the PCB and check the digit displayed on the 7-segment display.

IO

# Input Output
0 w segment a
1 x segment b
2 y segment c
3 z segment d
4 none segment e
5 none segment f
6 none segment g
7 none none