Tiny Tapeout 1

Detalles de diseño

Estadísticas de lanzamiento

  • Lanzamiento: 2022-08-17
  • Presentación cerrada: 2022-09-01
  • Enviado a Efabless el 2022-09-05 para el shuttle MPW7 utilizando el PDK de código abierto Skywater de 130 nm.

Estadísticas de proyecto

  • 152 proyectos enviados. Cada proyecto es de 100um x 100um.
  • 100 personas dispuestas a pagar 100USD por el chip montado en una PCB.
  • 115 personas usaron el editor gráfico Wokwi, 31 usaron Verilog, 3 usaron XLS, 2 usaron Chisel, 1 usó Amaranth.
  • 15 mil celdas estándar en total utilizadas en todos los proyectos.
  • La mayor cantidad de celdas utilizadas en un diseño fue de 600, la menor cantidad fue de 14.
  • Longitud total de cableado fue de 772 mm.

Imágenes

Chip completo

chip completo

Renderizado del chip completo

render

Por Maximo Balestrini

Zoom en 6 diseños individuales

zoom

Click para ejecutar un visor de GDS interactivo creado automáticamente con Github Actions

Exposición de proyectos

Tenemos muchos métodos para presentar en Tiny Tapeout, la versión gráfica de Wokwi y soporte de HDL para Verilog, Chisel, Amaranth, etc.

Proyectos de Wokwi

Proyectos de HDL

Contribuciones seleccionadas de la comunidad

Testimonios / Avales

Quiero cambiar mi clase de VLSI en la primavera (Abril - Junio) para utilizar su infraestructura de chips pequeños. Si pudiera decir que cada estudiante de mi clase logró hacer algo, sería increíble.


Enseño diseño digital en los Emiratos Árabes Unidos y estaría interesado en ponerme en contacto para aprender acerca de la próxima fecha de entrega donde mis estudiantes podrían enviar sus pruebas de infraestructura para el tapeout.


Soy un profesor de ciencias computacionales e ingeniería electrónica, y estoy en mi último año como estudiante de doctorado en la Universidad del Sureste de Noruega (USN). Como impartimos cursos tanto de electrónica digital como analógica, pensé que sería una gran oportunidad para que los estudiantes materializaran su trabajo.


Construí una lógica de CRC para el http://tinytapeout.com de @matthewvenn con mi hijo. Espero que el tapeout se concrete 🥰 De todos modos, el flujo de trabajo es asombroso. CI/CD para el diseño de chips 🤯


Gracias por hacer esto - siempre quise unirme a estos shuttles de Open MPW, pero nunca me sentí preparado. TinyTapeout me ha brindado una manera para poder hacerlo, y solo tuve que dedicar 2 veladas de esfuerzo de mi parte. ¡Eso es increíble!


Acabo de hacer un barrel shifter de 4 bits utilizando esta herramienta http://tinytapeout.com. Es muy rápida y divertida de usar. El GDS incluso se generó en minutos. Todos deberían probar esto.


Más en Twitter.